NUEL PRINST MARYKE
NUEL PRINST MARYKE
  • Видео 3 147
  • Просмотров 15 753 348

Видео

Kalimbubu Ncabinken Uis Mentar Meciho // BCA Nande Patoh Ginting // Maryke
Просмотров 443 часа назад
Kalimbubu Ncabinken Uis Mentar Meciho // BCA Nande Patoh Ginting // Maryke
Cana Sitepu Nuri2 Gendang Kalimbubu // BCA Nande Patoh Ginting // Maryke
Просмотров 1826 часов назад
Cana Sitepu Nuri2 Gendang Kalimbubu // BCA Nande Patoh Ginting // Maryke
Uni Ginting Nuri2 Gendang Anak Beru // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting
Просмотров 2232 часа назад
Uni Ginting Nuri2 Gendang Anak Beru // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting
Patam Gendang Kalimbubu // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting // Losd Sogong
Просмотров 1402 часа назад
Patam Gendang Kalimbubu // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting // Losd Sogong
Uni Ginting Nuri2 Gendang Kalimbubu // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting
Просмотров 1812 часа назад
Uni Ginting Nuri2 Gendang Kalimbubu // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting
Adu Penganten // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting // Losd Sogong
Просмотров 28014 часов назад
Adu Penganten // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting // Losd Sogong
Gendang Ngarak Penganten // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting // Losd Sogong
Просмотров 26216 часов назад
Gendang Ngarak Penganten // Perjabun Eko Dianta Sitepu & Osenia Damayana Br Ginting // Losd Sogong
Uni Ginting Nuri2 Gendang Kalimbubu // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti
Просмотров 37516 часов назад
Uni Ginting Nuri2 Gendang Kalimbubu // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti
Adu Penganten // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti // Raja Tengah
Просмотров 243День назад
Adu Penganten // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti // Raja Tengah
Gendang Mbaba Kampil // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti // Raja Tengah
Просмотров 280День назад
Gendang Mbaba Kampil // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti // Raja Tengah
Gendang Ngarak // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti // Raja Tengah
Просмотров 618День назад
Gendang Ngarak // Perjabun Rehmalem Br Penggurun & Ilhamzah Surbakti // Raja Tengah
Uni Ginting Gendang Ulu Emas // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Gtg //Jambur Tana
Просмотров 272День назад
Uni Ginting Gendang Ulu Emas // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Gtg //Jambur Tana
Uni Ginting Gendang Kalimbubu // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Gtg // Jambur Tana
Просмотров 263День назад
Uni Ginting Gendang Kalimbubu // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Gtg // Jambur Tana
Uni Ginting Gendang Anak Beru Sisereh // Perjabun Joseph Estrada Bgn & Dina Ezrani Br Ginting
Просмотров 253День назад
Uni Ginting Gendang Anak Beru Sisereh // Perjabun Joseph Estrada Bgn & Dina Ezrani Br Ginting
Uni Ginting Gendang Anak Beru Siempo // Perjabun Joseph Estrada Bgn & Dina Ezrani Br Gtg
Просмотров 206День назад
Uni Ginting Gendang Anak Beru Siempo // Perjabun Joseph Estrada Bgn & Dina Ezrani Br Gtg
Gendang Anak Beru Siempo // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 292День назад
Gendang Anak Beru Siempo // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Anak Beru Sisereh // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 212День назад
Gendang Anak Beru Sisereh // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Ulu Emas // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 19614 дней назад
Gendang Ulu Emas // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Kalimbubu // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 8714 дней назад
Gendang Kalimbubu // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Perkempun Sisereh // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 24814 дней назад
Gendang Perkempun Sisereh // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Adu Penganten // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 36414 дней назад
Adu Penganten // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Sukut Sisereh // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 26914 дней назад
Gendang Sukut Sisereh // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Sukut Siempo // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 20114 дней назад
Gendang Sukut Siempo // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Mbaba Kampil // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 41714 дней назад
Gendang Mbaba Kampil // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Gendang Ngarak Penganten // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Просмотров 21314 дней назад
Gendang Ngarak Penganten // Perjabun Joseph Estrada Bangun & Dina Ezrani Br Ginting // Jambur Tana
Uni Ginting Gendang Anak Beru // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu
Просмотров 19014 дней назад
Uni Ginting Gendang Anak Beru // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu
Uni Ginting Gendang Ulu Emas // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu // Penusunen
Просмотров 16714 дней назад
Uni Ginting Gendang Ulu Emas // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu // Penusunen
Uni Ginting Gendang Kalimbubu // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu
Просмотров 16814 дней назад
Uni Ginting Gendang Kalimbubu // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu
Gendang Anak Beru // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu // Losd Penusunen
Просмотров 22514 дней назад
Gendang Anak Beru // Perjabun Muliyati Br Sinulaki & Deni Syahputra Sitepu // Losd Penusunen

Комментарии

  • @user-hn7bn5te3c
    @user-hn7bn5te3c 5 часов назад

    Tukang kibotna sangana landek .. pantesan sora kibotna bage pet pet rempet 😂😂 Sory .

  • @diamond0265
    @diamond0265 7 часов назад

    Lagunya sedih, suaranya enak

  • @RioMeliala-jk2wu
    @RioMeliala-jk2wu День назад

  • @beraninginting1826
    @beraninginting1826 День назад

    Mantap bunga bunga kehidupan dalam dunia percintaan terhibur maiting ndu amin

  • @cyberembes6403
    @cyberembes6403 2 дня назад

    Sedih ya dengernya

  • @muis-sl8mg
    @muis-sl8mg 3 дня назад

    gak pernah bosan mendengarkan lagu ini ciptan almrhm bang darmi perangin angin dr mardingding kec tiganderket

  • @muis-sl8mg
    @muis-sl8mg 3 дня назад

    mama nangin tiganderket hadir

  • @Ijovi7150
    @Ijovi7150 3 дня назад

    😂 nggo sabar kam bebere ,nggo senang Turang kami i surga ,mjj kita tadingkenna.

  • @muis-sl8mg
    @muis-sl8mg 3 дня назад

    ma nangin tiganferket hadir

  • @ameliafebriana7706
    @ameliafebriana7706 4 дня назад

    Wanabi aku doakan semoga kamu mnjadi org yg suksra tapi kl ufz sukdes jangan sombong ya ok 😊

  • @ameliafebriana7706
    @ameliafebriana7706 4 дня назад

    Aku suka kali dgn lsgu ini aps lagi yg nyanyiksn wanna bii keren abis sukses terus

  • @basirmalem4476
    @basirmalem4476 4 дня назад

    Gendang anak beru ija

  • @MeiMei-zl7uz
    @MeiMei-zl7uz 4 дня назад

    Selamat

  • @Oblaykeliat
    @Oblaykeliat 5 дней назад

    Lange kita jodoh nde tepu...olanai ingetndu kerna kerina janjinta,padan nge kerina kerna dibata..lang aku kam la masap bas pusuhku..gia lit baleng balengna si ngkelengi kita duana..mejuah juah nde tepu

  • @user-qf5pw6mq2t
    @user-qf5pw6mq2t 7 дней назад

    🎉Kenangan di pemandian sembahe ras Beru Karo si enggo dekah la jumpa salam mejuah juah

  • @user-qf5pw6mq2t
    @user-qf5pw6mq2t 7 дней назад

    Mantap tringat knangan di pemandian sembahe beru taren si laterlupaken

  • @basirmalem4476
    @basirmalem4476 8 дней назад

    Gendang anak beru ija

  • @Martasembiring-ly9ne
    @Martasembiring-ly9ne 8 дней назад

    😂

  • @julindaaritonang7337
    @julindaaritonang7337 10 дней назад

    Adat karo hampir mirip kaya adat Aceh ya , sesama sumatra emang bisa ada mirip mirip nya seperti mirip adat palembang slamat ya , suku karo menjuah juah krina

  • @Sangaptarigan-cu4bw
    @Sangaptarigan-cu4bw 10 дней назад

    Om Hen

  • @buhoripanggabean1595
    @buhoripanggabean1595 12 дней назад

    Mantap ,,,,embasson ,,,! Jangan pikirkan orang cangkokan

  • @christinaginting8491
    @christinaginting8491 13 дней назад

    Keren Bu pendeta semakin dipakai Tuhan setiap hari 🙏

  • @nettyverabrbangun4726
    @nettyverabrbangun4726 13 дней назад

    Gua kin mka nggo tangis pekepar ee nake..😂Lit barang kisah pekepar ee🙂

  • @nuelsaragih1375
    @nuelsaragih1375 14 дней назад

    Mantap kali,,

  • @hamserjohnson3554
    @hamserjohnson3554 15 дней назад

    Mantap luar biasa.

  • @HesronPurba
    @HesronPurba 16 дней назад

    Pajak delitua hadir.

  • @JPrb-t2g
    @JPrb-t2g 17 дней назад

    KITA SEMUA SUKU BATAK...JANGAN MAU KITA DIADU DOMBA OLEH KOMPLOTAN EDY RAHMAYADI...JANGAN ADA YG MENDUKNG EDY RAHMAYADI... DIA ITU ANTI BUDAYA BATAK DAN ANTI KRISTEN... MARI UMAT KRISTEN BERSATU TENGGLAMAKN PDIP

  • @rataelita
    @rataelita 18 дней назад

    Buat mm karo q Alfatiha

  • @Parlindungan-x1v
    @Parlindungan-x1v 18 дней назад

    Ammin hrs

  • @OceanSunset-r2r
    @OceanSunset-r2r 19 дней назад

    Sidilaki pe mamang teku yahhhhhh

  • @OceanSunset-r2r
    @OceanSunset-r2r 19 дней назад

    12:56

  • @OceanSunset-r2r
    @OceanSunset-r2r 19 дней назад

    Adi anak q si diberu enggo kutarik mulih

  • @wilmarnaibaho5814
    @wilmarnaibaho5814 20 дней назад

    Dari dulu beginilah cinta, deritanya tiada akhir...😊😊😊

  • @roykembaran630
    @roykembaran630 21 день назад

    Ja nari nge perkibotna e kdkd ?

  • @AbidinGinting
    @AbidinGinting 22 дня назад

    .😅

  • @KornelisHenuk
    @KornelisHenuk 22 дня назад

    Mantap 🎉🎉🎉🎉🎉

  • @hembridachi832
    @hembridachi832 23 дня назад

    Sepertinya blm makan semua agak malas2an

  • @noviecharo1418
    @noviecharo1418 24 дня назад

    Sabar semuanya Tuhan yang atur

  • @DP-ol3ub
    @DP-ol3ub 25 дней назад

    Ya ampun.. mantap😂 Lagu ciptaan bpk uda ku ' Hendri Ginting ' memori sibayak

  • @BapakGinting-vi1wz
    @BapakGinting-vi1wz 25 дней назад

    Perjabun zoy ndai ras ali pekan maryke

  • @BapakGinting-vi1wz
    @BapakGinting-vi1wz 25 дней назад

    Perjabuen zoy ndai ras ali lit

  • @IramayaGinting
    @IramayaGinting 26 дней назад

    Sukses selalu , janah ku timai llp postingen terbaru ndu 👍👍

  • @KamsenSembiring
    @KamsenSembiring 27 дней назад

    Mantap❤

  • @RosMawaty-vm1mh
    @RosMawaty-vm1mh 27 дней назад

    Melange bagena tempa morah atendu enggo tading kam arah lebe

  • @achmadpriyadi4268
    @achmadpriyadi4268 27 дней назад

    Bisa belajar bahasa Batak. Sukses selalu buat Wannabe. Bener-bener apik. Saat yantai tak hapal'ne.

  • @naldoginting562
    @naldoginting562 28 дней назад

    🤗

  • @MeiMei-zl7uz
    @MeiMei-zl7uz 28 дней назад

    MArike

  • @NurmendaSembiring
    @NurmendaSembiring Месяц назад

    Enak

  • @Danielyabes7
    @Danielyabes7 Месяц назад

    Abindu bi

  • @aldoasli2208
    @aldoasli2208 Месяц назад

    Adi Kalak Karo bahanlah cakap karo ya